source: doc/theses/thierry_delisle_PhD/thesis/Makefile @ d93ea1d

ADTast-experimentalpthread-emulation
Last change on this file since d93ea1d was 5378f33, checked in by Thierry Delisle <tdelisle@…>, 21 months ago

Fixed typo in makefile and added crazed rambling in lieu of conclusion

  • Property mode set to 100644
File size: 7.8 KB
Line 
1## Define the configuration variables.
2
3Build = build
4Figures = img
5
6LaTMac = ../../../LaTeXmacros
7BibRep = ../../../bibliography
8
9Macros = ${LaTMac}
10TeXLIB = .:${Macros}:${Build}:${BibRep}:
11LaTeX  = TEXINPUTS=${TeXLIB} && export TEXINPUTS && latex -halt-on-error -output-directory=${Build}
12BibTeX = BIBINPUTS=${TeXLIB} && export BIBINPUTS && bibtex
13
14MAKEFLAGS = --no-print-directory # --silent
15VPATH = ${Build} ${Figures}
16
17## Define the text source files.
18TEXTS = ${addprefix text/, ${addsuffix .tex, \
19        front \
20        intro \
21        existing \
22        runtime \
23        core \
24        practice \
25        io \
26        eval_micro \
27        eval_macro \
28        conclusion \
29}}
30
31FIGURES = base \
32        base_avg \
33        base_ts2 \
34        cache-share \
35        cache-noshare \
36        empty \
37        emptybit \
38        emptytls \
39        emptytree \
40        executionStates \
41        fairness \
42        idle \
43        idle1 \
44        idle2 \
45        idle_state \
46        io_uring \
47        pivot_ring \
48        MQMS \
49        MQMSG \
50        system \
51        cycle \
52        result.cycle.jax.ops \
53        result.cycle.nasus.ops \
54        result.yield.jax.ops \
55        result.yield.nasus.ops \
56        result.churn.jax.ops \
57        result.churn.nasus.ops \
58        result.locality.share.jax.ops \
59        result.locality.share.nasus.ops \
60        result.locality.noshare.jax.ops \
61        result.locality.noshare.nasus.ops \
62        result.cycle.jax.ns \
63        result.cycle.nasus.ns \
64        result.yield.jax.ns \
65        result.yield.nasus.ns \
66        result.churn.jax.ns \
67        result.churn.nasus.ns \
68        result.locality.share.jax.ns \
69        result.locality.share.nasus.ns \
70        result.locality.noshare.jax.ns \
71        result.locality.noshare.nasus.ns \
72        result.cycle.low.jax.ops \
73        result.cycle.low.nasus.ops \
74        result.yield.low.jax.ops \
75        result.yield.low.nasus.ops \
76        result.churn.low.jax.ops \
77        result.churn.low.nasus.ops \
78        result.cycle.low.jax.ns \
79        result.cycle.low.nasus.ns \
80        result.yield.low.jax.ns \
81        result.yield.low.nasus.ns \
82        result.churn.low.jax.ns \
83        result.churn.low.nasus.ns \
84        result.memcd.rate.qps \
85        result.memcd.rate.99th \
86        result.memcd.forall.qps \
87        result.memcd.forall.lat \
88        result.memcd.fibre.qps \
89        result.memcd.fibre.lat \
90        result.memcd.vanilla.qps \
91        result.memcd.vanilla.lat \
92        result.swbsrv.25gb \
93        result.swbsrv.25gb.err \
94        SQMS
95
96PICTURES = ${addsuffix .pstex, ${FIGURES} }
97
98PROGRAMS = ${addsuffix .tex, \
99}
100
101GRAPHS = ${addsuffix .tex, \
102}
103
104## Define the documents that need to be made.
105all: thesis.pdf
106build/thesis.dvi: ${TEXTS} ${PICTURES} thesis.tex glossary.tex local.bib ${LaTMac}/common.tex ${LaTMac}/common.sty ${BibRep}/pl.bib
107
108DOCUMENT = thesis.pdf
109BASE = ${basename ${DOCUMENT}}
110
111# Directives #
112
113.NOTPARALLEL:                                           # cannot make in parallel
114
115.PHONY : all clean                                      # not file names
116
117all : ${DOCUMENT}
118
119clean :
120        @rm -frv ${DOCUMENT} ${BASE}.ps ${Build}
121
122# File Dependencies #
123
124%.pdf : build/%.ps | ${Build}
125        ps2pdf $<
126
127build/%.ps : build/%.dvi | ${Build}
128        dvips $< -o $@
129
130build/%.dvi : %.tex Makefile | ${Build}
131        # Conditionally create an empty *.ind (index) file for inclusion until makeindex is run.
132        if [ ! -r ${basename $@}.ind ] ; then touch ${basename $@}.ind ; fi
133        # Must have *.aux file containing citations for bibtex
134        if [ ! -r ${basename $@}.aux ] ; then ${LaTeX} $< ; fi
135        -${BibTeX} ${basename $@}
136        # Some citations reference others so run again to resolve these citations
137        ${LaTeX} $<
138        -${BibTeX} ${basename $@}
139        # Make index from *.aux entries and input index at end of document
140        -makeglossaries -q -s ${basename $@}.ist ${basename $@}
141        # Make index from *.aux entries and input index at end of document
142        -makeindex ${basename $@}.idx
143        # Run again to finish citations
144        ${LaTeX} $<
145
146## Define the default recipes.
147
148${Build}:
149        mkdir -p ${Build}
150
151%.tex : fig/%.fig | ${Build}
152        fig2dev -L eepic $< > ${Build}/$@
153
154%.ps : fig/%.fig | ${Build}
155        fig2dev -L ps $< > ${Build}/$@
156
157%.pstex : fig/%.fig | ${Build}
158        fig2dev -L pstex $< > ${Build}/$@
159        fig2dev -L pstex_t -p ${Build}/$@ $< > ${Build}/$@_t
160
161%.pstex : build/%.svg | ${Build}
162        inkscape -z -D --file=$< --export-eps=${Build}/$@ --export-latex
163        mv ${Build}/$@_tex ${Build}/$@_t
164        echo "sed -i 's/$@/${Build}/$@/g' ${Build}/$@_t"
165        sed -i 's/$@/${Build}\/$@/g' ${Build}/$@_t
166
167build/fairness.svg : fig/fairness.py | ${Build}
168        python3 $< $@
169
170cycle_jax_ops_FLAGS = --MaxY=500000000
171cycle_low_jax_ops_FLAGS = --MaxY=500000000
172cycle_jax_ns_FLAGS = --MaxY=4000
173cycle_low_jax_ns_FLAGS = --MaxY=4000
174
175cycle_nasus_ops_FLAGS = --MaxY=1250000000
176cycle_low_nasus_ops_FLAGS = --MaxY=1250000000
177cycle_nasus_ns_FLAGS = --MaxY=1500
178cycle_low_nasus_ns_FLAGS = --MaxY=1500
179
180yield_jax_ops_FLAGS = --MaxY=1000000000
181yield_low_jax_ops_FLAGS = --MaxY=1000000000
182yield_jax_ns_FLAGS = --MaxY=4000
183yield_low_jax_ns_FLAGS = --MaxY=4000
184
185yield_nasus_ops_FLAGS = --MaxY=1500000000
186yield_low_nasus_ops_FLAGS = --MaxY=1500000000
187yield_nasus_ns_FLAGS = --MaxY=1500
188yield_low_nasus_ns_FLAGS = --MaxY=1500
189
190churn_jax_ops_FLAGS = --MaxY=50000000
191churn_low_jax_ops_FLAGS = --MaxY=50000000
192churn_jax_ns_FLAGS = --MaxY=20000
193churn_low_jax_ns_FLAGS = --MaxY=20000
194
195churn_nasus_ops_FLAGS = --MaxY=75000000
196churn_low_nasus_ops_FLAGS = --MaxY=75000000
197churn_nasus_ns_FLAGS = --MaxY=20000
198churn_low_nasus_ns_FLAGS = --MaxY=20000
199
200build/result.%.ns.svg : data/% Makefile ../../../../benchmark/plot.py | ${Build}
201        ../../../../benchmark/plot.py -f $< -o $@ -y "ns per ops/procs" $($(subst .,_,$*)_ns_FLAGS)
202
203build/result.%.ops.svg : data/% Makefile ../../../../benchmark/plot.py | ${Build}
204        ../../../../benchmark/plot.py -f $< -o $@ -y "Ops per second" $($(subst .,_,$*)_ops_FLAGS)
205
206build/result.memcd.rate.qps.svg : data/memcd.rate Makefile ../../../../benchmark/plot.py | ${Build}
207        ../../../../benchmark/plot.py -f $< -o $@ -y "Actual QPS" -x "Target QPS" --MaxY=750000
208
209build/result.memcd.rate.99th.svg : data/memcd.rate Makefile ../../../../benchmark/plot.py | ${Build}
210        ../../../../benchmark/plot.py -f $< -o $@ -y "Tail Read Latency" -x "Target QPS"
211
212build/result.memcd.forall.qps.svg : data/memcd.updt Makefile ../../../../benchmark/plot.py | ${Build}
213        ../../../../benchmark/plot.py -f $< -o $@ -y "Actual QPS" -x "Target QPS" --filter forall --MaxY=700000
214
215build/result.memcd.forall.lat.svg : data/memcd.updt Makefile ../../../../benchmark/plot.py | ${Build}
216        ../../../../benchmark/plot.py -f $< -o $@ -y "Tail Read Latency" -x "Target QPS" --filter forall --MaxY=1
217
218build/result.memcd.vanilla.qps.svg : data/memcd.updt Makefile ../../../../benchmark/plot.py | ${Build}
219        ../../../../benchmark/plot.py -f $< -o $@ -y "Actual QPS" -x "Target QPS" --filter vanilla --MaxY=700000
220
221build/result.memcd.vanilla.lat.svg : data/memcd.updt Makefile ../../../../benchmark/plot.py | ${Build}
222        ../../../../benchmark/plot.py -f $< -o $@ -y "Tail Read Latency" -x "Target QPS" --filter vanilla --MaxY=1
223
224build/result.memcd.fibre.qps.svg : data/memcd.updt Makefile ../../../../benchmark/plot.py | ${Build}
225        ../../../../benchmark/plot.py -f $< -o $@ -y "Actual QPS" -x "Target QPS" --filter fibre --MaxY=700000
226
227build/result.memcd.fibre.lat.svg : data/memcd.updt Makefile ../../../../benchmark/plot.py | ${Build}
228        ../../../../benchmark/plot.py -f $< -o $@ -y "Tail Read Latency" -x "Target QPS" --filter fibre --MaxY=1
229
230build/swbsrv.% : data/swbsrv.%.nginx data/swbsrv.%.cfa Makefile ../../../../benchmark/process-trun.py | ${Build}
231        ../../../../benchmark/process-trun.py --out $@ $^
232
233build/result.swbsrv.%.svg : build/swbsrv.% Makefile ../../../../benchmark/plot.py | ${Build}
234        ../../../../benchmark/plot.py -f $< -o $@ -y "Data Rate" -x "Request Rate"
235
236build/result.swbsrv.%.err.svg : build/swbsrv.% Makefile ../../../../benchmark/plot.py | ${Build}
237        ../../../../benchmark/plot.py -f $< -o $@ -y "Errors" -x "Request Rate"
238
239## pstex with inverted colors
240%.dark.pstex : fig/%.fig Makefile | ${Build}
241        fig2dev -L pstex $< > ${Build}/$@
242        sed -i 's/\/col-1 {0 setgray} bind def/\/col-1 {1 setgray} bind def/g' ${Build}/$@
243        sed -i 's/\/col0 {0.000 0.000 0.000 srgb} bind def/\/col0 {1.000 1.000 1.000 srgb} bind def/g' ${Build}/$@
244        sed -i 's/\/col7 {1.000 1.000 1.000 srgb} bind def/\/col7 {0.000 0.000 0.000 srgb} bind def/g' ${Build}/$@
245        fig2dev -L pstex_t -p ${Build}/$@ $< > ${Build}/$@_t
246
247# Local Variables: #
248# compile-command: "make" #
249# End: #
Note: See TracBrowser for help on using the repository browser.